Added signal guards

This commit is contained in:
alex-kumpula 2023-11-11 21:36:00 -07:00
parent cf12a96a75
commit 5438bc9ffd
1 changed files with 11 additions and 5 deletions

View File

@ -75,16 +75,22 @@ public partial class TAS_System : Node
public void StartIncrementingFrames() public void StartIncrementingFrames()
{
if (this.IsIncrementingFrames == false)
{ {
this.IsIncrementingFrames = true; this.IsIncrementingFrames = true;
EmitSignal(SignalName.StartedIncrementing); EmitSignal(SignalName.StartedIncrementing);
} }
}
public void StopIncrementingFrames() public void StopIncrementingFrames()
{
if (this.IsIncrementingFrames == true)
{ {
this.IsIncrementingFrames = false; this.IsIncrementingFrames = false;
EmitSignal(SignalName.StoppedIncrementing); EmitSignal(SignalName.StoppedIncrementing);
} }
}
public void ResetFrameCount() public void ResetFrameCount()
{ {